module SHIF4(DIN,CLK,RST,DOUT);input CLK,DIN,RST; output DOUT;reg [3:0] SHFT;always@(posedge CLK or posedge RST)if(RST)SHFT=4'B0;else begin SHFT=(SHFT1);SHFT[3]=DIN;endassign DOUT=SHFT[0];endmodule该程序实现的功能是:

浏览:288

module SHIF4(DIN,CLK,RST,DOUT);input CLK,DIN,RST; output DOUT;reg [3:0] SHFT;always@(posedge CLK or posedge RST)if(RST)SHFT=4'B0;else begin SHFT=(SHFT1);SHFT[3]=DIN;endassign DOUT=SHFT[0];endmodule该程序实现的功能是: A.左移移位寄存器B.右移移位寄存器C.同步清零D.异步清零正确答案:右移移位寄存器;异步清零

module SHFT1(CLK,LOAD,DIN,QB);  output QB; input CLK,LOAD;  input[7:0] DIN; reg[7:0] REG8;  always @(posedge CLK)if(LOAD)REG8=DIN ;()else REG8[6:0]=REG8[7:1];  assign QB = REG8[0] ;  endmodule该程序实现的功能为:

浏览:320

module SHFT1(CLK,LOAD,DIN,QB);  output QB; input CLK,LOAD;  input[7:0] DIN; reg[7:0] REG8;  always @(posedge CLK)if(LOAD)REG8=DIN ;()else REG8[6:0]=REG8[7:1];  assign QB = REG8[0] ;  endmodule该程序实现的功能为: A.右移移位寄存器B.左移移位寄存器C.含同步并行预置功能D.含异步并行预置功能正确答案:右移移位寄存器;含同步并行预置功能